Submit Paper

Article Processing Fee

Pay Online

           

Crossref logo

  DOI Prefix   10.20431


 

International Journal of Innovative Research in Electronics and Communications
Volume 1, Issue 7, 2014, Page No: 31-41


VLIW-Based Processor for Executing Multi-Scalar/Vector Instructions

M. Priyanka1, K.Niranjan Reddy2

1.M.tech / ECE/ Embedded Systems Malla Reddy Engineering College for Women Hyderabad, India.
2.Professor, ECE Department Malla Reddy Engineering College for Women Hyderabad, India.

Citation : M. Priyanka, K.Niranjan Reddy, VLIW-Based Processor for Executing Multi-Scalar/Vector Instructions International Journal of Innovative Research in Electronics and Communications 2014, 1(7) : 31-41

Abstract

This paper proposes new processor architecture for data-parallel applications based on the combination of VLIW and vector processing paradigms. It uses VLIW architecture for processing multiple independent scalar instructions concurrently on parallel execution units. Data parallelism is expressed by vector ISA and processed on the same parallel execution units of the VLIW architecture. The proposed processor, which is called VecLIW, has register file of 64x32-bit registers in the decode stage for storing scalar/vector data. VecLIW can issue up to four scalar/vector operations in each cycle for parallel processing a set of operands and producing up to four results. Which loads/stores 128- bit scalar/vector data from/to data cache. Four 32-bit results can be written back into VecLIW register file. The complete design of our proposed VecLIW processor is implemented using VHDL targeting the Xilinx FPGA Virtex-5, XC5VLX110T-3FF1136 device.


Download Full paper: Click Here